1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
expeople1 [14]
4 years ago
12

If you can't change lanes, then _____ the distance between you and the car in front of you to encourage a tailgater to go around

you
Social Studies
2 answers:
Svetllana [295]4 years ago
8 0
You have to be at least 25 feet from the car to swith lanes

vekshin14 years ago
8 0

Answer:

increase

Explanation:

Tailgating means that the car that is behind you is too close and what you can do in this case if you are not able to change lanes is to flash your brake lights and slow down to allow the tailgater to pass you. This means that you increase the distance between you and the car in front of you.

You might be interested in
Jerry and Elaine have a rule that whoever gets home first will walk the dog. Elaine returns from work to find Jerry asleep on th
Over [174]

Answer: Social vs relational

Explanation:

Jerry transgressed the rule by allowing Lola have the leash in her mouth. It was agreed that who gets home first controls the dog but this scenario played out by Jerry made it be a social vs relational scenario.

4 0
3 years ago
Another word for: not allowed
aliya0001 [1]

Answer:

Forbidden.

Explanation:

When something is forbidden, it is not allowed.

8 0
3 years ago
How might the destruction of rainforests affect the balance of gases in the earths atmosphere
gladu [14]

Answer:

When forests are burned, degraded, or cleared, the opposite effect occurs: large amounts of carbon are released into the atmosphere as carbon dioxide along with other greenhouse gases (nitrous oxide, methane, and other nitrogen oxides

99.9% sure its correct

8 0
3 years ago
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
What are the results of regulation in a mixed market economy. Check all that apply.
aksik [14]

The given options are :

A) compliance with laws

B) advantages for producers

C) control of markets

D) advantages for government

E) control of externalities


The Correct Answers On Edgen Is

A & E

<h2><u>A) Compliance with laws</u></h2><h2><u>E) Control of externalities</u></h2>
8 0
3 years ago
Other questions:
  • What is the purpose of the space needle
    12·1 answer
  • Why do you think romans traded for horses and wild animals
    6·1 answer
  • A longstanding controversy in psychology over the relative contributions that genes and experience make to the development of ps
    9·1 answer
  • Identify each judgment below as ethical (E), or as aesthetic (A), or as legal (L) in nature, or as a matter of custom (C): That
    13·1 answer
  • The flesh resides within our heart, our mind and our intellect.<br><br> True<br> or<br> False
    10·1 answer
  • What can you infer about the woman in the mosaic by studying the picture?
    15·1 answer
  • Please i really need help with this its for an essay and its due at midnight
    6·2 answers
  • A group of psychologists are studying how certain parts of the brain allow people to distinguish between positive and negative e
    13·1 answer
  • Which of the following describes the relationship between filibuster and cloture?
    14·1 answer
  • Does Supreme Court justices use public opinion as their rulebook when judging laws
    12·2 answers
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!