1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
solniwko [45]
2 years ago
10

Which of these advances did ancient Egyptians make?

Social Studies
2 answers:
xeze [42]2 years ago
8 0

Answer:

The other guy isn't right. The answer is A. Created a calendar based on the stars that included 12 months.

Explanation:

I took the f-ing test

Evgesh-ka [11]2 years ago
3 0
The correct answer is C
You might be interested in
Question 4
Ilya [14]

Answer: 2 is the answer

As said in the musical Hamilton, he sent a “fully armed battalion.”

6 0
3 years ago
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
DirectProtect is an insurance provider that uses telemarketers rather than insurance agents to sell insurance and deal with clai
Alex787 [66]

Answer:

Questionnaire

Explanation:

A questionnaire is a research tool that is consists of a series of questions and that used for collecting information from the population. It is a kind of written interview conduct by a researcher to the population for gathering information. These are carried out by the researcher's face to face interviews, collection the information through phone or by post. It is a cheap and very quick way to get information. It is not a time-consuming process. Because the researcher need not be there with the participants. There could be a closed question, opened question in the questionnaire.

7 0
3 years ago
ACROSS<br><br> 7. a person in the South who rooted for<br><br> the North at the end of the Civil War
tatuchka [14]

Answer:

Southern unionist

Explanation:

A Southern Unionist was a white Southerner who either opposed secession and the Confederate States of America and/or supported the Union or stayed neutral.

5 0
2 years ago
Most of those who fear globalization worry that it will lead to?
andrew11 [14]
D. Americanization is the answer
7 0
3 years ago
Other questions:
  • Two boys are fighting on school grounds during recess. fighting is against school rules, and the boys know this. even so, a teac
    7·1 answer
  • Please help!!
    13·2 answers
  • Some crime prevention researchers believe that increasing police presence in an area leads to higher crime statistics in that ne
    9·1 answer
  • Which term refers to marrying someone outside your group ?
    6·2 answers
  • Consider the following Causal Claim:
    8·1 answer
  • Do you guys have any idea how say my user lol??
    9·2 answers
  • Without a key or legend ,how can you tell the difference between the locations of America and British forces?​
    7·1 answer
  • What was the ruling in the case of Brown V. Board of Education?
    15·2 answers
  • Helpppppppppppppppp ​
    8·1 answer
  • Match each coordinate to its correct location on the map
    7·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!