1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
Maksim231197 [3]
3 years ago
9

Design a Verilog module that takes a high frequency clock input and outputs a clock signal at 1/1000 of the input frequency. The

output clock signals should be 50% duty cycle, which means they should be high in half of the period and low in the other half.

Computers and Technology
1 answer:
JulsSmile [24]3 years ago
8 0

Answer:

// Code your design here

module clk_div

#(

parameter WIDTH = 7,// width of register

parameter N = 100// value of division here 100

)

(clk,reset, clk_out);

input clk;

input reset;

output clk_out;

reg [WIDTH-1:0] r_reg;// counting register

wire [WIDTH-1:0] r_nxt;

reg clk_track;// clock value

always at(posedge clk or posedge reset)

begin

if (reset)// reset

begin

r_reg <= 0;

  clk_track <= 1'b0;

end

else if (r_nxt == N)

   begin

  r_reg <= 0;

  clk_track <= ~clk_track;

  end

else

r_reg <= r_nxt;

end

assign r_nxt = r_reg+1;    

assign clk_out = clk_track;

endmodule

testbench:

// Code your testbench here

// or browse Examples

module clkdiv2n_tb;

reg clk,reset;

wire clk_out;

clk_div t1(clk,reset,clk_out);

initial

clk= 1'b0;

always

#5 clk=~clk;

initial

begin

#5 reset=1'b1;

#10 reset=1'b0;

#5000 $finish;

end

initial

$monitor("clk=%b,reset=%b,clk_out=%b",clk,reset,clk_out);

initial

begin

$dumpfile("dump.vcd");

$dumpvars(2);

end

endmodule

Explanation:

see waveform

You might be interested in
Before a program written in c can be executed on a computer, what step is required to be done first?
sergejj [24]
It should be compiled. It won't work if it's not compiled.
6 0
4 years ago
The slide layout has placeholders for text, charts, and tables. true or false.
Ksju [112]
The answer to this is true
3 0
4 years ago
Read 2 more answers
The library Wi-Fi kiosk requires a symmetric connection to the Internet. Which WAN technology would probably be the least expens
kenny6666 [7]

Answer:

The answer is IP-sec.

Explanation:

0.75 miles of range is a low distance for a WAN (Wide Area Network) so a point-to-point WAN tehcnology such as IP-sec or SD-WAN can be preferred. But the least expensive option would be choosing IP-sec as specified in the question.

I hope this answer helps.

6 0
3 years ago
Mac os is based on the ____ operating system.
ankoles [38]
Mac os is based on the UNIX operating system.
4 0
3 years ago
5. Lael is always on the lookout for students who might be interested in running for office in student groups. In cell M2, enter
drek231 [11]

Solution :

It is given that Lael always looks out for the students who are interested in running for the office in a student group.

We use an excel sheet to determine whether a student had already elected to the office of the student group or not.

The formula used for the excel sheet used is :

$=IF(EXACT(K3,"Yes")."ELECTED", "Yes",IF(K3,'Yes),"Yes","No"))$

                K                        L                             M                    N          

   Elected group    Finance certificate            

          Yes                    Yes                              Yes

          No                     Yes                               Yes

          No                      No                                No

6 0
3 years ago
Other questions:
  • What microsoft operating systems started the process of authenticating using password and username
    14·1 answer
  • What is are example of an engineered item?
    12·1 answer
  • Image below please help
    9·1 answer
  • A user calls your help desk to report that the files on her USB stick do not have any permissions associated with them and there
    15·2 answers
  • Consider the classes below: public class TestA { public static void main(String[] args) { int x = 2; int y = 20 int counter = 0;
    8·1 answer
  • What is the main component of the EV3 Lego Robot called?​
    6·1 answer
  • A ……………………………is used to verify the identity of a website and is issued to the owner of the website by an independent and recogni
    7·2 answers
  • Use the drop-down menus to explain what happens when a manager assigns a task in Outlook.
    6·2 answers
  • When heading styles have been applied to a document, the user has the option to navigate through the document using which tab on
    13·1 answer
  • in the future, mobile technologies are expected to be used more than desktop computers today. what other improvements are helpin
    14·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!