1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
Svetach [21]
3 years ago
9

Officer Thomas prefers to use a 35mm single-lens camera to document crime scenes. What is one advantage of using a 35mm camera a

t a crime scene instead of using a digital camera? The images produce negatives that can be used as back-ups. All 35mm single-lens cameras are cheaper than digital cameras. It is tough to find high-quality digital cameras. Images from a 35mm camera can be more easily manipulated.
Social Studies
1 answer:
astra-53 [7]3 years ago
6 0

Answer:

Digital camera 34mm

Explanation:

because you can see the documents of a crime

You might be interested in
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
If all light waves are reflected off a medium, then what color will be perceived?
Damm [24]

Answer:

White

Explanation:

When all colours of light is reflected; it invariably combines with itself to give white

4 0
3 years ago
-What allies are against ISIS?
Genrish500 [490]

Germany, Austria, Hungary, Turkey

2. Mazloum Abdi

In President George W. Bush's State of the Union Address of January 29, 2002, he reviewed the nation's response to the terrorist attacks on September 11, 2001. President Bush drew controversy when he then sketched his plan for dealing with terrorism in the months and years that lay ahead. According to the president, the greatest threat to America came not simply from Al Qaeda, but from an Axis of Evil—nations that represented the ultimate repression of human freedom. The three rogue states—Iraq, Iran and North Korea—not only oppressed their own people, but also actively aided terrorist groups. Defeating this Axis of Evil became the cornerstone of the Bush administration's plan to defeat global terrorism.

Explanation:

6 0
2 years ago
Write a one-page letter/email to parents of 10- to 14-year-old boys and girls, promoting the health and socialization benefits o
katen-ka-za [31]

Answer: Some benefits of lacrosse game include Teamwork Building, full, body workout, endurance, field strategy and vision, discipline.

Explanation:

Dear Parent,

Trust your day went fine?

We want to enlighten you on the benefits of lacrosse game. Lacrosse is a team sport played with a lacrosse stick and a lacrosse ball. Below are some ways your children could benefit from playing them;

- Full body workout; it helps the body to be fully worked out, every section of the body participates in this

- Teamwork building; it encourages working with team members, hereby improving socialization

- Endurance; it encourages endurance, which could be beneficial when working with people

- Field strategy and vision; it builds strategy and vision within the individual

- Discipline; and makes them discipline in whatever they find themselves doing.

They can learn more about the sport but joining the lacrosse sport club, they would really enjoy their time there. We look forward to seeing them in the club.

Kind regards.

7 0
2 years ago
When you see the bright color and round shape of a tomato on the vine in your vegetable garden, smell its sun-warmed fragrance,
erastovalidia [21]

Answer:

Sensation

Explanation:

The sensation is a long process of our brain. In this process, our five senses collect the information from the environment and send it to the brain for further process in the brain.

Sensation happened from our five senses vision, hear, taste, smell, and touch. These senses have their neural pathway through which these sends the information rapidly to the brain.

We do not have senses we could not feel the sunny day, smell of a flower, taste of food and texture of fur.  So that in the above context all process is related to our senses.

4 0
3 years ago
Other questions:
  • What two groups of people did not benefit from the era of prosperity during the decade of the 1920s? Select all that apply. A. U
    11·2 answers
  • People enjoy outdoor holiday lighting displays and would be willing to pay to see these displays but can't be made to pay. Becau
    7·1 answer
  • Personality is:_____________.
    14·1 answer
  • The word notable in paragraph 4 comes from the Latin root notare ("to mark or note"). Recall the meaning of the suffix -able. Ba
    14·1 answer
  • What is the primary goal of interest groups when they interact with policymakers?
    9·1 answer
  • Para Karl Popper a indução é racional?
    8·1 answer
  • 8. How were Egyptian women treated differently than most
    14·1 answer
  • Which of the following was an effect of William and Mary’s acceptance of the English Bill of Rights?
    10·1 answer
  • Corporations can contribute to the global tragedy of the commons by not pumping pollutants into the atmosphere or dumping them i
    15·1 answer
  • When applying the problem-solving model to ethical solutions, be sure to consider:
    8·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!