1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
yarga [219]
3 years ago
15

Imagine that researchers have found a positive correlation between the frequency of disagreements that couples have and how long

they stay together. Based on this correlation, would you start arguments with your significant other in order to sustain your relationship?
a.Yes, because the correlation is positive.
b.No, because the correlation is positive.
c.No, because although the two may be correlated, causation has not been established.
d.No, because in your group of friends, the correlation is negative.
Social Studies
1 answer:
Alchen [17]3 years ago
5 0

Answer:c.No, because although the two may be correlated, causation has not been established.

Explanation: Correlation only show us that there exist a relationship between two variable but it doesn't actual say that one is the cause of the other. It doesn't give us the cause of why this is happening or it doesn't say that these agreements are the cause of partners staying long with each other , it only tells us that there seems to be a relationship of some sort that exist. They may be may underlying causes that drives this which are not related to just argument so since correlation doesn't mean causation one can not make a conclusion from just correlation alone.

You might be interested in
Where is the most deposition likely to occur?
Nikitich [7]

Answer:

i believe it is B

Explanation:

7 0
2 years ago
People experiencing hopelessness often have _____ than optimistic people.
Stels [109]
<span>People experiencing a depressed hopelessness often have reduced disease-fighting immune systems than optimistic people. The people they are also some of the most frustrating feelings that depressed individuals experience. Research on the cognitive theory of depression has shown that people who are depressed struggle with feelings of hopelessness and helplessness more so than people who are not depressed. So they have reduced disease-fighting immune system.</span>
4 0
3 years ago
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
There are several unique characteristics of qualitative research that make it distinct from quantitative research. Describe the
Juli2301 [7.4K]

Answer:

Quantitative research is statistical: it has numbers attached to it, like averages, percentages or quotas. Qualitative research uses non-statistical methods. For example, you might perform a study and find that 50% of a district’s students dislike their teachers.

Explanation:

Characteristics of Qualitative Research.  

Findings are judged by whether they make sense and are consistent with the collected data.

Results are validated externally by how well they might be applicable to other situations. This is tough to do; rich, detailed descriptions can help to bolster external validity.

Data is usually collected from small, specific and non-random samples.

Actions of the researcher that can compromise the integrity of the findings:

Intellectual honesty in proposing, performing, and reporting research refers to honesty with respect to the meaning of one's research. It is expected that researchers present proposals and data honestly and communicate their best understanding of the work in writing and verbally.

When a researcher do not exemplify and transmit the values associated with ethical scientific conduct” (NAS, 1995, preface).

5 0
3 years ago
The innovation of using conveyor belts on an assembly line is attributed to
masya89 [10]
The innovation of using conveyor belts on an assembly line is attributed to Henry Ford. The answer would be letter C.

Economic nationalism mean nations are discouraged imports. This is a promotional behavior to patronize local goods and services instead of imports. This is imposed within a state or a country. The answer would be letter C.
8 0
3 years ago
Other questions:
  • The Central Limit Theorem implies Select one: a. the distribution of a large population is approximately normal. b. the distribu
    5·1 answer
  • What name did the Democrats give Southerners who became Republicans?
    12·1 answer
  • What was the view of Mahatma Gandhi on cricket? Why pentangular cricket was protested by the Indian nationalists?
    10·1 answer
  • Which statement about the economic costs of World War II is true?
    15·1 answer
  • Is it better for teens to go to private school sixth forms or state school sixth forms???
    5·2 answers
  • This is the oldest permanent colonial settlement:
    15·1 answer
  • Which tactic for overcoming resistance to change basically assumes that the source of resistance lies in misinformation
    15·1 answer
  • These 2 diseases, ________________, caused the death of millions in the americas
    15·1 answer
  • Functions like print which perform an action but don't return a value are called:_____
    12·1 answer
  • the performance dimensions most responsible for limiting maria's performance on procedural interventions are
    8·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!