1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
ivolga24 [154]
4 years ago
5

8. President Woodrow Wilson believed in "moral diplomacy." Why did he send American forces into Mexico to pursue Francisco "Panc

ho" villa?
Social Studies
2 answers:
atroni [7]4 years ago
8 0

Answer:

President Woodrow Wilson sent American forces into Mexico to pursue Francisco "Pancho" Villa because this carried out an invasion to the American city of Columbus, killing many American citizens.

Explanation:

Before dawn on March 9, 1916, a group of approximately 1,500 men (the official report of the US Army mentioned between "500-700 participants") of the Villa army, under the command of General Ramon Banda Quesada, attacked the town from Columbus (New Mexico), in retaliation for the official recognition of the Carrancista regime by the United States and in search of the merchant Sam Ravel (a supplier who, according to Villa, had stolen him.) The invasion of Pancho Villa to New Mexico was the only invasion that the United States had in its territory since the Anglo-American War of 1812.

Faced with the events that took place in the Battle of Columbus, US President Woodrow Wilson sent on March 14, 1916 troops headed by General "Black Jack" Pershing (the same who would command US forces in World War I) to the capture of Villa. The search for Villa would eventually lead the American troops about 600 km into Mexican territory, reaching the city of Parral.

For eleven months, the ten thousand Pershing soldiers toured the deserts of the immense state of Chihuahua. Pershing managed to disperse the Mexican forces that had attacked Columbus, but Pancho Villa disappeared into the vast Mexican territory mocking his persecutors.

Liula [17]4 years ago
6 0

It is because Villa's forces raided Columbus, New Mexico and ended up killing 18 Americans.

You might be interested in
How did early soninke farmers contribute to the beginning of ghana?
poizon [28]

They banded together because of the nomadic herders and they rebelled against them. They didn't have direct contact with each other therefor keeping everything peaceful.

hope this helped <3

7 0
3 years ago
What is the most famous example of cylindrical projection?
snow_tiger [21]
A Robinson and be cause he was the most famous person here
7 0
3 years ago
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
Why are short-term econometric models mote accurate than long-term models?
yulyashka [42]
Short-term econometric models are more accurate than long-term models because if one small unexpected change is made in the economy, it will radically affect the long-term.
8 0
4 years ago
Defining the limits on free speech in cases of ____________ has been very difficult.
MrRissso [65]
The answer is D. all of the above

The limits is really depend on the culture that you're in. In some culture, libeling is punishable by death, meanwhile in another culture it's just a small accusation

In liberal Culture, obscenity and artistic expression is more Tolerable compared to the Area that have a strong Religious culture

5 0
4 years ago
Other questions:
  • What does annointing and prophetic mean?
    15·1 answer
  • The lord of the flies what incident shows that roger is strill affected and still held by the learned rules of society
    7·1 answer
  • Describe three factors that are making life harder for young adults according to Steven Rattner. In each case, explain how mille
    14·1 answer
  • Tamal is generally outgoing and has a lot of friends on campus. But he seems to freeze up whenever he gives a presentation in sp
    15·1 answer
  • What did great plains people eat?
    15·2 answers
  • Give at least three common motivational techniques from the ""Model of Motivated Learning"" from Learning Theories. Some may cal
    7·1 answer
  • Jose is assigned to coach a Little League team that finished in last place during the prior season. He has heard many parents ta
    12·1 answer
  • Sociologist Terry Williams finds that to become a successful drug dealer, youth must learn a number of skills: pleasing the boss
    14·2 answers
  • What word best describes<br><br> The meeting of people as a romantic engagement.
    5·2 answers
  • What is the most important way that rivers and lakes helped the US become a wealthy country? A. They provided an environment for
    12·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!