1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
arsen [322]
2 years ago
13

GIVING BRAINLIEST

Social Studies
1 answer:
Natasha_Volkova [10]2 years ago
6 0
I think that the answer would be A because it doesn’t say anything about the president or justices/judicial court. Hope this helps!
You might be interested in
What cultural diffusion is this cat yoga was introduced in the United States over the last few years
JulsSmile [24]

it sucks that you dont know

Explanation:

too bad

5 0
2 years ago
Why is paying taxes considered a civic responsibility of citizens living in a democracy?
galina1969 [7]
Taxes are necessary because they pay for things that most individuals could not possibly purchase for themselves, such as fire protection, schools, roads, etc.
5 0
3 years ago
Read 2 more answers
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
Would you want to live in the Mauryan Empire under Ashoka’s Rock and Pillar Edicts? Explain.
creativ13 [48]

Yes, because it was a period of peace and prosperity.

Explanation:

  • Ashoka was the third emperor of the Maurya dynasty and ruled India from 268 to 239 BC. Shocked by the destruction he caused with his war, Ashoka accepted Buddhism and spent the rest of his reign trying to rule his vast empire on spiritual principles.
  • He renounced aggressive foreign policy, promoted harmony among religions, established hospitals, humanized the legal system, and sent Buddhist missionaries across the Indian subcontinent and beyond.
  • Mostly thanks to Ashoka's patronage, Buddhism has so quickly become an all-India religion.
  • To announce his reforms, Ashoka issued a series of proclamations and carved them on huge stone pillars erected across India.
  • These proclamations or edicts are the earliest written declarations of ancient India to date.

Learn more on Ashoka on

brainly.com/question/6424803

brainly.com/question/6056387

#learnwithBrainly

6 0
3 years ago
Before people can take part in an election in the United States, they must
Ray Of Light [21]

Answer:

register with their states to vote.

Explanation:

The purpose of the voting registeration is to ensure that there is no illegal votes conducted to manipulate the resut.

Without the registration, citizens from other states could come to different states in order to vote for their candidates multiple times without getting detected

8 0
3 years ago
Other questions:
  • What two events ended the revolutionary war
    13·1 answer
  • "which court has original jurisdiction to determine both the validity of will and whether a person is mentally competent"
    10·1 answer
  • Which statement about Clinton’s impeachment is true?
    8·2 answers
  • Professor Izadi is interested in the association between sleep and cognitive performance. He surveyed his students about the num
    7·1 answer
  • Why do we need cities
    5·1 answer
  • The 4 P's stand for​
    10·1 answer
  • According to Chapter 1, the principal social psychological factor in determining the presence of an individual's drug abuse is:
    7·1 answer
  • Event 2 is tsunamis and event 3 is volcanos, explain the events and how to solve them.
    7·2 answers
  • Place the following events in the order that they occured.
    11·1 answer
  • 1. Explain how the activity supports the skill
    8·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!