1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
Ber [7]
3 years ago
9

What was a cultural feature of a large city like Chang’an?

Social Studies
2 answers:
guapka [62]3 years ago
5 0

Answer:

c

Explanation:

Nimfa-mama [501]3 years ago
4 0

Answer: it's c!!

Explanation:

I did this on edge and got 100 <33 goodluck!

You might be interested in
please please please please please please please please please please please please please please help me help help help help he
ANEK [815]

Answer:

IS THAT A SWASTIKA?

Explanation:

7 0
3 years ago
30 POINTS!!!
olga55 [171]
It would be cheaper in 2013 because 1$ is worth more rupees. Another reason might be because the tablet is an old product and is worth less.
7 0
3 years ago
Describe a time when you had to work with a difficult team member. What actions did you take to resolve the situation to encoura
miv72 [106K]

Answer and explanation:

That is a very common question in job interviews. The best thing to do is show flexibility and willingness to leave you ego behind when dealing with difficult interpersonal situations. A possible answer would be:

"I once had a colleague who was giving the group the impression that they weren't as concerned with the results as everyone else. Their attitudes, words, and actions were often counterproductive, leaving the team frustrated. I listened to the team's complaints but, when approaching that specific person, I gave them the chance to explain what was wrong. Instead of accusing them from the get-go, I expressed concern over their well-being and safety. It turned out that their behavior was linked to a personal problem. After discussing it and assuring that person they had our support, their behavior improved considerably."

6 0
3 years ago
According to your text, when in a medium or high-risk situation, humans are more likely to help which of the following persons?
Minchanka [31]
I think it would be sibling, but I'm not sure.
4 0
3 years ago
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
Other questions:
  • What can student council do to make our school a better place?
    7·1 answer
  • In their relationship, Mark is concerned with maintaining an equal ratio of rewards and costs, whereas Jenn is concerned with be
    7·1 answer
  • Suppose the economy is operating at its full-employment-noninflationary GDP and the MPC is 0.75. The Federal government now find
    11·1 answer
  • Royal and religious titles followed by either a given name or a surname only (i.e., Princess Leah, Father McCarthy) a. are not c
    15·1 answer
  • Deb is extremely impulsive and unpredictable. She is very unsure of who she really is and from semester to semester her goals sh
    12·1 answer
  • Askoas commitment to the happiness and welfare of all his people conflicts directly with which aspect of india society
    6·1 answer
  • Why does income for a family tend to go up when two single persons marry?
    13·2 answers
  • What forms the border between northern India and China
    11·1 answer
  • Sorry everyone i hope you all are fine​
    6·2 answers
  • How did Aryans impact society in India
    9·2 answers
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!