1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
tigry1 [53]
2 years ago
9

I need your opinion for the election. Do you think we should keep the Electoral college?

Social Studies
2 answers:
mrs_skeptik [129]2 years ago
8 0

Answer:

NONONONONO

Explanation:

Minchanka [31]2 years ago
4 0

Answer:

no i dont cuz its unfair

Explanation:

You might be interested in
One of the hallmarks of schizophrenia is disorganized speech and behavior. Theorists suggest that people with such disorganized
ElenaW [278]

Answer:

c. a breakdown in selective attention.    

Explanation:

          Schizophrenia is a sever and chronic mental disorder which affects the behavior of person of how the person feels, thinks and behaves. It is mental disorder which affects the person's speech and nature.

          It may result in hallucination and delusion and sometimes disordered behavior and thinking.

         A breakdown in selective attention is mostly experienced by persons suffering from mental disorder schizophrenia. Selective attention helps us to focus our awareness to certain relevant stimuli in the environment and neglecting the others. Schizophrenia causes a breakdown in selective attention of the patient's brain.

Hence the answer is --

c. a breakdown in selective attention.

4 0
3 years ago
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
"philosophy is about asking questions of which there are no real answers"
zimovet [89]
What is the question you are asking?

4 0
3 years ago
15. why did the Berbers eventually learn to live peacefully with thier Arab conquerors?
rodikova [14]
That's a hard one to answer but from all my reading it would seem there was one main reason. The Berbers were nomads so they never were able to be collectively together to form an army against invaders. Therefore, the Berbers were constantly being conquered by someone. Each time they had to learn new laws set out by the conquerors. When the Arabs came with their mighty army, they knew they couldn't fight so giving in was in an odd way a protection system of kinds.
5 0
3 years ago
What changes to the shapes of the sugar cube did you observe during the experiment
Solnce55 [7]
The squeaker shape of 1
6 0
2 years ago
Other questions:
  • In the context of the cognitive-affective units proposed by walter mischel, _____ refer to people's ways of categorizing informa
    5·1 answer
  • this mid-18th century conflict was between england and france and took place in Europe, the Americans, Africa, and asia.
    12·2 answers
  • Dr. Rodriguez, an educational psychologist, looks at the student-environment interaction to determine how to improve a student's
    9·1 answer
  • Incentives for political leaders can cause leaders to favor programs with immediate benefits and deferred costs. True False
    13·1 answer
  • During the first treatment condition of a within-subjects experiment, the participants learn a new skill that helps improve thei
    6·1 answer
  • What is a citizen,and how is citizenship obtained
    9·2 answers
  • Why do sociologists find the study of family to be so important when trying to grasp the mores and norms of a culture?
    13·2 answers
  • How did people in the southern colonies use natural resources
    15·1 answer
  • Were the 1950s really “Happy Days” for most Americans?
    10·1 answer
  • A(n) _____ is an outsourcing fee model that charges a variable fee based on the volume of transactions or operations performed b
    12·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!