1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
Alecsey [184]
2 years ago
15

What are the effects of social problem in you family and society​

Social Studies
1 answer:
amm18122 years ago
5 0

Answer:

Social problems in society and family are:

1. Traditional dowry, child marriage, child labour, casteism/untouchability.

2. Marriage Related: divorce, live in relationship adultery, polygamy issues.

3Violence Related: Domestic violence, violence/crime against women and children, violence against elders.

You might be interested in
When we help others in order to receive positive attention or gratitude, we are motivated by ________, but when we help others b
anzhelika [568]
1.  Extrinsic Motivation
2. Intrinsic Motivation
3 0
3 years ago
Read 2 more answers
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
Which letter represents a neurotransmitter acting via direct effects?.
leonid [27]

The letter A is the one that represents a neurotransmitter acting via direct effects.

<h3>What is a neurotransmitter?</h3>

These are chemical messenger that helps carries signals across the neurons and target cells throughout the body.

Hence, the letter A represents a neurotransmitter acting via direct effects because its involves direct connection between the neurons.

Therefore, the Option A is correct.

Read more about neurotransmitter

<em>brainly.com/question/26387085</em>

5 0
2 years ago
1. Existentialism was the leading philosophy of thought to which most modernist writers adhered. (1 point)
jeyben [28]

1. True

Existentialism refers to the philosophy that associated with the principles that all human beings should be free to control their thought, will, and actions. Modernist writers believe that only such freedoms could enable people to fully expressed their idea and creativity to fully influence needed changes in our personal life and society where we live in.

2. False

the prefix ambi- means both ways.

For example, extrovert refers to the people who prefers to interact with a lot of people (such as partying, becoming center of attention, etc). Introverts refers to the people who prefers to spend their time alone (reading books or experimenting)

Ambiverts refers the people who can be extroverts or introverts at time.

3. True

For example, pay attention to these two sentences.

- Timothy<em> take</em> Cheryl to the wedding.   (1.)

- Timothy <em>was taken </em>by Cheryl to the wedding. (2)/principle

In the first sentence, the word  'take' act as a verb and can be interpreted as  Timothy who is the one that take Cheryl to the wedding.

In second sentence, the word 'take' act as an adjective, and can be interpreted as Cheryl who is the one that take Timothy to the wedding.

7 0
3 years ago
Read 2 more answers
Foundations 2.01 CAP FILE CIVICS
julsineya [31]

Answer:

Could you give more info?

Explanation:

5 0
3 years ago
Other questions:
  • In the story of their lives, mahavira and the buddha show similarities, such as
    10·1 answer
  • What does "Rule thou and be thou ruled" mean?<br> - Cyrus the Great
    8·1 answer
  • Plagiarism includes ALL of the following EXCEPT
    9·1 answer
  • Which term names the forming of emotional ties between parents and child?
    9·1 answer
  • In 1800 living standards in various places around the globe were ___ they are today
    5·1 answer
  • Joseph Story, former Supreme Court justice and the first dean of the Harvard Law School, said "the substantial blessings of life
    7·1 answer
  • The ____ component of an emotion refers to the bodily arousal of that emotion.
    12·1 answer
  • Hurry timed and conused/ a f
    13·2 answers
  • She is hoping to measure the children's psychological responses when their parents leave them in a playroom alone. Considering t
    14·1 answer
  • Explain what systematic desensitization is then describe the two major components of the therapy
    15·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!