1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
lapo4ka [179]
4 years ago
7

When following large vehicles that block your view of the road ahead, give yourself a ____ second or more cushion of space in fr

ont of your vehicle
Social Studies
1 answer:
Anna [14]4 years ago
6 0

<u>Answer:</u>

Give yourself a four second or me more cushion of space in front of your vehicle when following large vehicles that block your view of the road ahead.

<u>Explanation:</u>

  • In order to ensure safety from the vehicles coming from the other side of the road when the road in front of you is blocked by an object bigger than your vehicle, it is advised that a space of at least four seconds is left between your vehicle and the vehicle ahead of you (both moving at an almost constant speed).
  • This cushion of space between your vehicle and the other vehicle provides for space for safe skidding in case of emergency braking if required.
You might be interested in
After watching a news report showing children dying from hunger in Africa, Danielle experienced a generally unpleasant feeling t
aivan3 [116]

Answer:

Mandi

Explanation:

There are many types of emotions that we all generally feel in our daily routine life. Even some times we feel that our emotions ruled us in many ways. The psychologist gives any type of emotions that we feel and watch many people with these emotions. In 1970 Paul finds six types of virtual emotions that they suggest that all people in the universe feel common emotions.

<u>There are six emotions are as follows : </u>

  • Happiness
  • Sadness
  • Anger
  • Disgust
  • surprise
  • Fear

5 0
3 years ago
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
Logan is a very bright 10-year-old with a mental age of 12. If tested on the Stanford-Binet Intelligence Scale, his IQ score wou
Y_Kistochka [10]

According to Stanford-Binet Intelligence Scale, his IQ score of Logan comes out to be 120, which represents that Logan is a high achiever child.

<h3>What is an IQ score?</h3>

IQ stands for Intelligence Quotient. It is a score describing the intelligence level of a human which is determined by testing some standardized characteristics within an individual.

Given values:

Mental age: 12

Normal age: 10 years

Computation of IQ score:

\rm\ IQ \rm\ Score=\frac{\rm\ Mental \rm\ age}{\rm\ Normal \rm\ age} \times 100\\\rm\ IQ \rm\ Score = \frac{12}{10} \times 100\\\\\rm\ IQ \rm\ Score =120

Therefore, his IQ score of Logan is 120 which shows that he is a high achiever person under the Stanford-Binet Intelligence Scale.

Learn more about the IQ score in the mentioned link:

brainly.com/question/14377171

#SPJ1

5 0
2 years ago
What kind of government did rome have fifth, from 324-476 A.D (Constantine to the fall of Rome)
MAXImum [283]
I'm not positive what the question is asking but during that time period Rome was a Republic, which is similar to a democracy
4 0
3 years ago
Which is an example of a scalawag?
ad-work [718]
A scalawag who has taken to begging on street corners while posing as a blind person!!Hope this helps you!
8 0
3 years ago
Other questions:
  • In most cases, counters and accumulators are initialized to ____.
    8·1 answer
  • Carol gilligan's critique of lawrence kohlberg's stages of moral development focuses primarily on
    15·1 answer
  • Which is most likely to increase a person's aggressive response?
    8·1 answer
  • Which list describes the correct order of the legislative process for a bill to become a law in Georgia? Group of answer choices
    14·1 answer
  • The process of identifying exposure to threats, creating preventive and recovery procedures, and then testing them to determine
    10·1 answer
  • I desire you would Remember the Ladies, and be more generous and favourable to them than your ancestors. Do not put such unlimit
    5·1 answer
  • Many theorists believe that people have an innate predisposition to learn language. Three of the following provide sources of ev
    9·1 answer
  • Why people in the northern,middle and southern colonies made their livings in different way?
    9·1 answer
  • What did you call the dog when it crossed the street
    14·1 answer
  • Instruction
    7·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!