1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
Ivanshal [37]
3 years ago
12

Plz. help.

Social Studies
2 answers:
kipiarov [429]3 years ago
6 0

Answer:

C. great art where made

xxTIMURxx [149]3 years ago
5 0
The Roman Forum is Where A Forum was the main center of a Roman city. Usually located near the physical center of a Roman town, it served as a public area in which commercial, religious, economic, political, legal, and social activities occurred. Fora were common in all Roman cities, but none were as grand as the fora of Rome itself. Anwser The senates met
You might be interested in
Ancient myths often __________. A. provided scientific explanations for natural phenomena B. related natural phenomena the socie
mixas84 [53]
The answer would be B because myths often talked about how the gods controlled the weather and earthquakes and other stuff like that.
5 0
3 years ago
Read 2 more answers
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
What reform movement is John Dewey associated with?
Law Incorporation [45]
The reform movement that John Dewey is associated with is A. education.
He was a major voice of progressive education and liberalism.
3 0
3 years ago
Motivation describes the wants or needs that direct behavior toward a goal. What is the motivation in the following sentence? Gi
Oxana [17]

Answer: to become a chef

Explanation:

Motivation is known as the internal force which drives an individual towards attaining a goal. It is a process which compels an individual to behave and take actions in specific ways because of certain needs or want. Thus, it is the energy which arouses an individual’s interest and a determined attempt towards a desired result.

Giorgio is motivated towards becoming a chef and as a result, he is going through the process.

3 0
3 years ago
Read 2 more answers
Cliff is hooked up to an EEG in a sleep lab. He has been asleep for just over an hour when his EEG starts to show low amplitude,
Natali5045456 [20]

Answer:

The correct answer is B) has just entered REM sleep

Explanation:

Cliff is hooked up to an EEG in a sleep lab. He has been asleep for just over an hour when his EEG starts to show low amplitude, irregular wave patterns. Cliff’s hands and feet begin to twitch, and his eyes begin to dart back and forth under his eyelids. The researcher who is monitoring Cliff’s sleep can conclude that Cliff has just entered REM sleep

7 0
3 years ago
Read 2 more answers
Other questions:
  • Explain what type of protection people needed as they moved out of Africa
    6·2 answers
  • Vince and larry were discussing what safety feature of your vehicle in this video?
    15·1 answer
  • Houses like the ones in malaysia will use what natural resource
    5·1 answer
  • Michelle is walking on the road when she suddenly hears an intermittent ringing of church bells. She is unable to detect the sou
    15·2 answers
  • How do chemicals get from you lawn to the pond water
    15·1 answer
  • Which of the following best describes the influence of magna carta
    8·1 answer
  • Conservatives are more likely than liberals to support a. the military. b. the status quo. c. the traditional family. d. all of
    13·1 answer
  • What do you mean by extauagane​
    15·2 answers
  • Select a quotation from a US leader on the subject of government.
    5·1 answer
  • Rachel moves in with Joey. Within days of moving in, Joey's refrigerator stops working. Joey believes that it is Rachel's fault
    10·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!