1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
Kryger [21]
2 years ago
15

I need help somebody

Social Studies
2 answers:
nydimaria [60]2 years ago
8 0

Answer:

1.c 2.c

Explanation:

this is what I think the answer is

hope it helps

Kisachek [45]2 years ago
4 0

Answer:

The first one I believe is B and the second question would be terrorism

Explanation:

I hope this helps, and I may be wrong, so sorry!

You might be interested in
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
A sociologist who ascribes to the emergent norm theory of collective action might describe Billie Holiday as what
BARSIC [14]

Answer:

Social Strain

Explanation:

Social Strain is the theory of sociology in which pressure is derived from the social factors such as low income and lack of quality education and basic needs. This will to increase in crime rate due to frustration which overcomes positive motivation in a human.

4 0
3 years ago
Why did the Articles of Confederation fail?
polet [3.4K]

Answer: Three Reasons Why The Articles of Confederation:

1. There was no power to enforce laws.

2. No judicial branch or national courts.

3. Amendments needed to have a unanimous vote.

Explanation:

8 0
3 years ago
Read 2 more answers
To begin your plan, you will need to know about your competition and decide how you will attempt to compete. Your competitor is
vredina [299]
First, i would develop a similar product to 'create your own yogurt' but doing it by using natural Ingredients that definitely better for the health. After that, i would create a marketing campaign to create an awareness for the existence of my product. For the cherry on top,  i would endorse several health and fitness professional to make a comparison review between my products and my competitor's.
7 0
3 years ago
Read 2 more answers
What is millennium development goals​
artcher [175]

Answer:

The Millennium Development Goals (MDGs) are eight goals with measurable targets and clear deadlines for improving the lives of the world's poorest people. To meet these goals and eradicate poverty, leaders of 189 countries signed the historic Millennium declaration at the United States Nations Millennium summit in 2000.

Explanation:

I majored in Social Studies

5 0
3 years ago
Other questions:
  • Albert bandura argued that in order to feel happy and function effectively, people must develop a positive sense of:
    6·1 answer
  • Suppose one encounters construction while driving home. One cannot take the regular route but has no trouble in determining an a
    11·1 answer
  • What would be the effect from an increase in the price of natural resources?
    12·2 answers
  • Agreeing to work late in order to get an important project finished is an example of:
    8·2 answers
  • Why were the rights and privileges of the freedmen still threaten even after civil war
    9·1 answer
  • Date
    6·1 answer
  • Choose all that apply. Taylor wants to create a budget to track her expenses and identify ways she can reduce spending. What too
    14·1 answer
  • Help i mark brain thing
    11·1 answer
  • Which country is described in the following statement
    13·2 answers
  • Was the treaty of Paris fair; if so why?
    13·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!