1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
evablogger [386]
3 years ago
7

If a state law allows the use of medical marijuana but federal law prohibits it, the state law violates which clause of the cons

titution?
Social Studies
1 answer:
Mrac [35]3 years ago
4 0
<span>If a state law allows the use of medical marijuana but federal law prohibits it, the state law violates: The supremacy clause of the Constitution
The supremacy clause indicates that in an event where a Federal law and a state law contradict one another, the federal law must be held at a higher standard than the state law</span>
You might be interested in
One afternoon, after a couple of beers, Steven says that because Marvin has been a helpful neighbor, he can have a right-of-way
lina2011 [118]

Answer:

No enforceable contract.

Explanation:

In this example, Steven and Marvin had already reached an agreement that was benefitial to Marvin. He was going to be able to drive across Steven's land. However, Steven later changed his mind. The fact that Marvin has no enforceable contract means that he will not be able to prove that Steven had given him this privilege. In turn, this means that he will not be able to force Steven to give him access again.

6 0
3 years ago
What did the Indians do before horses?
Elena L [17]

Answer:

they hunted bison and other wild animals like big game and trade.

Explanation:

5 0
3 years ago
Read 2 more answers
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
Which child hoods influenced Edgar Allan Poe's writing
stepladder [879]
It might be justin bieber
6 0
3 years ago
How has mass media changed the presidency
ra1l [238]
The mass media has changed the presidency in the sense that the President is held far more accountable for his actions than in the past. Every little slip up is documented.
7 0
3 years ago
Other questions:
  • What role did the navajo code talkers play in WW2?
    13·2 answers
  • Tim understands that cars are vehicles. Later, he hears others refer to buses, trucks, or ships as vehicles, and starts to call
    5·2 answers
  • Which statement best summarizes what the doctor is saying about child factory workers
    11·1 answer
  • Public figures:_________
    11·1 answer
  • What invention dominated the economy, agriculture, and commerce of the middle of the United States until 1870?
    15·1 answer
  • Please Help Me With This ASAP!!!
    8·1 answer
  • Expressed powers are a. granted to the national government by the U.S. Constitution b. granted to state governments by the U.S.
    15·1 answer
  • Matching thingy helppp!!
    8·1 answer
  • What other strategies help a speaker to encourage retention in a speech with a primarily informative goal? ​
    15·1 answer
  • Which country colonized modern-day texas in the 17th century?
    12·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!