1answer.
Ask question
Login Signup
Ask question
All categories
  • English
  • Mathematics
  • Social Studies
  • Business
  • History
  • Health
  • Geography
  • Biology
  • Physics
  • Chemistry
  • Computers and Technology
  • Arts
  • World Languages
  • Spanish
  • French
  • German
  • Advanced Placement (AP)
  • SAT
  • Medicine
  • Law
  • Engineering
statuscvo [17]
3 years ago
11

Who was the first englishman to sail around the world

Social Studies
2 answers:
hoa [83]3 years ago
8 0
Sir francis drake was the first
Anastasy [175]3 years ago
7 0
Francis Drake was the first Englishman to sail around the world I do not know what year tho, my mom is a History Teacher at Owsley county elementary school
You might be interested in
Develop a testbench for the Half Adder that verifies the structural model. The testbench will have no ports. Your testbench shou
Fynjy0 [20]

Answer and Explanation:

--        Here we define the AND gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity andGate is        

  port( A, B : in std_logic;

           F : out std_logic);

end andGate;

architecture func of andGate is

begin

  F <= A and B;

end func;

--        Here we define the XOR gate that we need for

-- the Half Adder

library ieee;

use ieee.std_logic_1164.all;

entity xorGate is

  port( A, B : in std_logic;

           F : out std_logic);

end xorGate;

architecture func of xorGate is

begin

  F <= A xor B;

end func;

-- At this point we construct the half adder using

-- the AND and XOR gates

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder is

  port( A, B : in std_logic;

   sum, Cout : out std_logic);

end halfAdder;

architecture halfAdder of halfAdder is

component andGate is -- import AND Gate

     port( A, B : in std_logic;

              F : out std_logic);

  end component;

component xorGate is -- import XOR Gate

    port( A, B : in std_logic;

             F : out std_logic);

  end component;

begin

G1 : xorGate port map(A, B, sum);

G2 : andGate port map(A, B, Cout);

end halfAdder;

---------------------------------------------------------END

---------------------------------------------------------END

Test Bench:

--import std_logic from the IEEE library

library ieee;

use ieee.std_logic_1164.all;

entity halfAdder_tb is

end halfAdder_tb;

architecture tb of halfAdder_tb is

component halfAdder is

    port( A, B : in std_logic;

      sum, Cout : out std_logic);

  end component;

signal A, B, sum, Cout: std_logic;

begin

  mapping: halfAdder port map(A, B, sum, Cout);

  process

  variable errCnt : integer := 0;

  begin

--TEST 1

  A <= '0';

    B <= '1';

    wait for 10 ns;

    assert(sum = '1') report "sum error 1" severity error;

    assert(Cout = '0') report "Cout error 1" severity error;

    if(sum /= '1' or Cout /= '0') then

       errCnt := errCnt + 1;

    end if;

--TEST 2

  A <= '1';

    B <= '1';

    wait for 10 ns;

    assert(sum = '0') report "sum error 2" severity error;

    assert(Cout = '1') report "Cout error 2" severity error;

    if(sum /= '0' or Cout /= '1') then

       errCnt := errCnt + 1;

    end if;

--TEST 3

  A <= '1';

    B <= '0';

    wait for 10 ns;

    assert(sum = '1') report "sum error 3" severity error;

    assert(Cout = '0') report "Cout error 3" severity error;

    if(sum /= '1' or Cout /= '0') then

        errCnt := errCnt + 1;

    end if;

---- SUMMARY ----

    if(errCnt = 0) then

      assert false report "Success!" severity note;

    else

       assert false report "Faillure!" severity note;

    end if;

end process;

end tb;

-------------------------------------------------------------

configuration cfg_tb of halfAdder_tb is

  for tb

  end for;

end cfg_tb;

----------------------------------------------------------END

----------------------------------------------------------END

8 0
3 years ago
Of the 48 representatives attending the convention in 1849, how many were Californios?
matrenka [14]
The answer is 18 hope this helps .
6 0
3 years ago
Read 2 more answers
Because early philosophers asked
vfiekz [6]

Because of the highly subjective questions asked by early philosophers, the scientific community <em>C. </em><em>Took</em><em> a </em><em>skeptical view </em><em>of the emerging field of  </em><em>Psychology</em><em>. </em>

Scientific theory is based on objective research which has to be proven by multiple people before it is considered factual. As a result, when the early psychologists arose, scientists were skeptical.

This is why they did not readily accept:

  • Wilhelm Wundt with his empirical psychology
  • Ivan Pavlov with classical conditioning
  • Sigmund Freud with psychoanalysis and,
  • B. F. Skinner with behaviorism

As time went by however and a lot of what early psychologists said were proven or improved on, psychology came to be regarded as a science.

In conclusion, psychology had a very rocky start because the scientific community had some doubts about it but it has since become a science that follows the scientific method to come up with theory that is acceptable.

<em>Find out more at brainly.com/question/14466706.</em>

3 0
3 years ago
Tyler is vacationing in a new city. he isn't quite sure where his hotel is. he knows it's near the beach. tyler also realizes th
dedylja [7]
The correct answer is the "heuristic technique."

The Heuristic Technique or also known basically as heuristic, is any way to deal with critical thinking, learning, or disclosure that utilizes a down to earth strategy not ensured to be ideal or immaculate, but rather adequate for the prompt objectives. 
6 0
3 years ago
Research has reported that newlywed couples who become angry while discussing problems experience catharsis and have better long
dalvyx [7]

According to research reports, newlywed couples who become negative and hostile while discussing problems or conflicts suffer more immune system suppression the next day. Furthermore, it was discovered that unlike men, he physiological function of women is usually affected by the nature of marital interactions.

4 0
4 years ago
Other questions:
  • The Federal Trade Commission was created to _____.
    9·2 answers
  • Norman, a psychologist, is conducting a study about why memory decreases with age and how the skills of problem solving and deci
    13·1 answer
  • What educatino do you need to be a wildlife veterinarian?
    9·1 answer
  • Taken together, substance abuse costs the United States over __________ a year. A. half a billion dollars B. a billion dollars C
    11·2 answers
  • If a nation is rich in resources then it can be developed.justify this statement​
    8·1 answer
  • Are apples yummy? in my big fattt tummiiiiiii
    11·1 answer
  • which type of government would you prefer to have in the placw you live in? give reasons for your answer​
    9·1 answer
  • Civil rights acts and voting rights acts are two types of laws passed by the legislative
    11·1 answer
  • If a child can pass a false-belief task, this boy or girl is most likely to be at least _____ old.
    6·1 answer
  • As of the publication of the Fichtelberg text, which of these nations has the highest imprisonment rate per capita
    5·1 answer
Add answer
Login
Not registered? Fast signup
Signup
Login Signup
Ask question!